家电数码 > 数码产品 > 详情

AI赋能Gululu Q智能语音水杯 ,发力儿童智能物联领域

发布时间:2020-11-26 发布时间:
|

中国高端儿童水杯 Gululu 系列智能互动水杯,将于 10 月 21 日天猫希诺旗舰店上线旗下第五款产品 Gululu Q 智能语音水杯,并于 11 月在天猫 Gululu 母婴旗舰店正式上线。新品 Gululu Q 内置天猫精灵智能语音助手,用 AI 黑科技赋能儿童大健康产业。历经三年五款定位不同产品的更新迭代,Gululu 凭借自身软硬件能力,将新品受众延伸至大众市场,希望通过亲民的定价和独特的功能点,为更多消费者带来高品质儿童智能硬件产品。

 

Gululu Q 智能语音水杯

 

让孩子不仅爱上喝水,还能边喝水边学习

作为兼具健康习惯管家与人工智能学习伙伴双重身份的儿童 AIoT 智能载体,Gululu Q 智能语音水杯的特色尤为亮眼。Gululu Q 内置天猫精灵智能语音助手,精选天猫精灵儿童版海量内容,天文地理诗词歌赋无所不知,造就儿童专属的纯净 AI 内容环境。同时,Gululu 独特的 AI 算法饮水监测技术,能精准测量并追踪饮水数据,与 App 数据同步;丰富的饮水趣味语音提醒,让饮水过程充满期待与乐趣。此外,正在热映的东方梦工厂首部原创动画电影《雪人奇缘》,与 Gululu Q 开展了深度 IP 合作,主角小雪人大毛作为限时特定角色,也加入新品水杯宠物大家庭。

 

ululu Q X 雪人奇缘 IP 跨界合作

 

三年五款产品进化迭代,始终以用户需求为基础

2016 年 5 月,Gululu 首发于全球最大的众筹平台之一 -- Kickstarter,不到四天即完成众筹目标,获得全球 20 多个国家媒体报道。首批量产的第一代 Gululu 水精灵互动水杯,凭借独特的宠物养成概念,短短数月产品便售罄。2017 年初正式推出中文版,登陆中国市场。

 

在迅速收集来自全球用户的反馈和需求之后,优化升级的第二款产品 Gululu Talk 智能互动水杯,于 2017 年双十一期间正式上架天猫 Gululu 母婴旗舰店。主打让萌宠开口说话,Gululu Talk 围绕喝水场景收录了 300 多句日常英语,为孩子打造全天候的英文陪伴;并定制了原创语音故事,有机结合科普知识与情商元素,培养孩子成长所需的软实力。

 

2018 年,为了丰富用户的水杯使用场景,第三款 Gululu Go 互动水杯主打外出携带和户外运动需求,进行了外观、材质和性能上的升级。同时 Gululu 星系的新 IP 角色同期发布,新增宠物间打招呼的互动玩法,充分激发孩子的社交天性。

 

Gululu Go 智能互动水杯

 

2019 年 5 月,Gululu 与中国精品杯壶铸造者希诺的品牌联合款 Gululu Go 2 智能互动水杯正式发布,除了在产品性能方面取得突破性改良之外,水杯内容的广度和趣味度也得以显著提升。Gululu Go 2 新增十大内容订阅频道,与宝宝巴士、常州中华恐龙园、叽里呱啦、成长兔英语、火火兔等多家品牌进行跨界合作,频道内容涉及日常英语、天文科学、世界历史、恐龙知识、传统文化等多个领域,Gululu 儿童内容平台属性初具雏形。在 IP 打造上,Gululu Go 2 新加入两位水精灵成员 -- 小海马呦呦和希诺小熊诺诺,Gululu 水精灵阵容持续扩大。

 

三年来,Gululu 一直坚守初心,聆听消费者的声音,匠心打磨每一代产品,不断完善用户体验。伴随新品 Gululu Q 智能语音水杯的推出,以及其他创新儿童产品的研发,Gululu 将凭借自身蓬勃的产品力,朝着营造儿童智能健康生态圈的长期目标奋进。

 

 


『本文转载自网络,版权归原作者所有,如有侵权请联系删除』

热门文章 更多
三星[太子"李在镕:韩式财阀悲剧继承者