12 月 10 日 -12 月 11 日,中国集成电路设计业 2020 年会暨重庆集成电路产业创新发展高峰论坛在重庆举行。芯原股份创始人、董事长兼总裁戴伟民发表主题为“芯火燎原,科创未来”的演讲。

 

  

图源:集微网

 

戴伟民表示,集成电路产业进入轻设计模式。半导体产业发展到今天,每一个新的模式出现都与历史背景和工业情况有关。全球半导体产业的三次转移,从军工主导的美国开始,到日韩的家电 IDM 形式,再到中国台湾的代工王朝,现在到更碎片化的中国大陆,这都是有原因的。

 

  


 

近年来,随着半导体工艺的不断下探,芯片上晶体管数量增长的速度不断超越人们的想象,并支撑了手机芯片性能的不断升级。

 

在 16nm 工艺下,苹果手机芯片的晶体管数目为 33 亿个,在 7nm 工艺下为 69 亿个,在 5nm 工艺时预计达 100 亿个。单位面积下晶体管数量的快速上升促使晶体管的单位成本快速下降 ,苹果公司芯片每晶体管的生产成本在 16nm 工艺下为 4.98 美元 /10 亿个晶体管,在 7nm 工艺下仅为 2.65 美元 /10 亿个晶体管。

 

  

图源:集微网

 

与晶体管成本走势恰好相反,芯片设计的成本正逐年攀升。戴伟民指出,以工艺制程处于主流应用时期的设计成本为例,工艺节点为 28nm 时,单颗芯片设计成本约为 0.41 亿美元,而工艺节点为 7nm 时,设计成本则快速升至约 2.22 亿美元。其中早期使用和成熟期使用的成本相差一倍以上,但成熟期的使用成本仍非常昂贵。

 

“三十年前,行业的固定成本(CaPex)问题在台积电主导的晶圆代工模式下迎刃而解。如今,行业面临的营业成本(OPex)问题,正是芯原致力于解决的难题。”戴伟民进一步指出,“企业不需要把所有的 IP 大包大揽,只需要专注在核心的关键技术上。而一些通用的 IP 则可以交给芯原这样的芯片设计服务公司。

 

“产业升级催生轻设计模式。”

 

戴伟民介绍,芯原目前拥有 5 大数字 IP,包括 GPU IP、NPU IP、VPU IP、DSP IP 和 ISP IP;共计 1400 多个数模混合 IP 和射频 IP ,全球范围内拥有有效发明专利 128 项、商标 74 项;在中国境内登记集成电路布图设计专有权 132 项、软件著作权 12 项以及丰富的技术秘密储备。

 

整体来看,尽管芯原 IP 销售的“量”不是最多的,但“种类”已经极为丰富,这完美的契合了芯粒(Chiplet)这种异构集成的 IP 复用模式。

 

戴伟民认为,先进工艺中只有 22nm、12nm 和 5nm 这三个工艺节点是“长命节点”,其他中间节点的“寿命”都比较短。而且,并非每种芯片都需要 5nm 这样的尖端工艺,因为不是每一家公司都能负担起 5nm 工艺的成本,于是 Chiplet 这种将不同工艺节点的 die 混封的新形态是未来芯片的重要趋势之一。

 

据 Omdia 数据显示,全球 Chiplet 处理器芯片市场规模预计到 2024 年达 58 亿美元,而到 2035 年将是 570 亿美元。

 

Chiplet 源于 Marvell 创始人周秀文 (Sehat Sutardja)博士在 ISSCC 2015 上提出 MoChi(Modular Chip,模块化芯片)架构的概念。MoChi 是许多应用的基准架构,包括物联网、智能电视、智能手机、服务器、笔记本电脑、存储设备等。

 

但目前的 Chiplet 由 AMD 领跑。AMD 以实现性能、功耗和成本的平衡为目标,推行 Chiplet 设计,并提出 performance/W 和 performance/$ 衡量标准。Chiplet 具有成本效应,但其造价随着核数的下降而变缓,因此可能有一个价格的均衡点来判断是否采用 Chiplet。

 

戴伟民在演讲中还特别强调了,封装和接口对于 Chiplet 的重要性。台积电的 CoWoS 技术和英特尔的 Foveros 3D 立体封装技术都为 Chiplet 的发展奠定了基础,目前已有的 Chiplet 封装技术包括 Organic Substrates、Passive Interposer (2.5D) 以及 Silicon Bridges。另外还可以通过有源中介层集成很多有源器件,包括模拟电路、IO 接口、各种接口的物理层、可扩展的片上网络等。

 

为了让 IP 更具象、更灵活的被应用在 Chiplet 里面,芯原提出了 IP as a Chip(IaaC)的理念,旨在以 Chiplet 实现特殊功能 IP 从软到硬的“即插即用” ,解决 7nm、5nm 及以下工艺中性能与成本的平衡,并降低较大规模芯片的设计时间和风险。

 

目前,芯原的 5nm 项目已经取得初步成果,5nm FinFET 芯片的设计研发已经开始,芯片设计中 NPU IP 的逻辑综合已完成,初步仿真结果符合期望目标。

 

“Chiplet 带来新的产业机会,”戴伟民总结道。芯片设计环节能够降低大规模芯片设计的门槛;半导体 IP 授权商能升级为 Chiplet 供应商,提升 IP 的价值且有效降低芯片客户的设计成本;芯片制造与封装环节能够增设多芯片模块(Multi-Chip Module,MCM)业务,Chiplet 迭代周期远低于 ASIC,可提升晶圆厂和封装厂的产线利用率;标准与生态环节,则能够建立起新的可互操作的组件、互连、协议和软件生态系统。