×
嵌入式 > 嵌入式开发 > 详情

数码管时钟电路的设计

发布时间:2020-08-19 发布时间:
|
LED数码管时钟电路采用24h计时方式,时、分、秒用六位数码管显示。该电路采用AT89C2051单片机,使用3V电池供电,只使用一个按键开关即可进入调、省电(不显示LED数码管)和正常显示三种状态。

1.时钟电路硬件设计

数码管时钟电路如下所示,其采用AT89C2051单片机最小化应用设计,LED显示采用动态扫描方式实现,P1口输出端码数据,P3.0~P3.5口作扫描输出,P3.7接按钮开关。为了提供LED数码管的驱动电流,用三极管9012作电源驱动输出。为了提高秒计时的精确性,采用12MHz晶振。

2.系统主要程序的设计

(1)主程序

本设计中的计时采用定时器T0中断完成,其余状态循环调用显示子程序,当P3.7端口开关按下时,转入调时功能程序。

(2)显示子程序

数码管显示的数据存放在内存单元70H~75H中,其中70H~71H存入秒数据,72H~73H存放分数据,74H~75H存放时数据,每一地址单元内均为十进制BCD码。由于采用软件动态扫描实现数据显示功能,显示用十进制BCD码数据的对应段码存放在ROM表中。显示时,先取出70H~75H某一地址中的数据,然后查得对应的显示用段码从P1口输出。P3口对应的数码管选中,就能显示该地址单元的数据值。

(3)定时器T0中断服务程序

定时器T0用于时间计时。定时溢出中断周期设为50ms,中断累计20次(即1s)时对秒计数单元进行加1操作。时间计数单元地址分别在70H~71H(s)、76H~77H(min)、78H~79H(h),7AH单元内存放“熄灭码”数据(#0AH)。在计数单元中采用十进制BCD码计数,满60进制。

(4)T1中断服务程序

T1中断服务程序用于指示调整单元数字的亮灭。在时间调整状态下,每过0.3s,将对应单元的显示数据换成“熄灭符”数据(0AH)。这样,在调整时间时,对应调整单元的显示数据就会间隔闪烁。

(5)调整功能程序

调时功能程序的设计方法是:按下按键,若按下时间小于1s,进入省电状态(数码管不亮,时钟不停),否则进入调整分状态,等待操作,此时计时器停止走动。当再次按下按钮时,若按下时间小于0.5s,则时间加1min;若按下时间大于0.5s,则进入小时调整状态。在小时调整状态下,当按键按下的时间大于0.5s时退出调整状态,时钟继续走动。

原理图:

实物图:

附:源代码


;************* 数码管电子时钟 *******************;
;*MCU: AT892051 ;
;*MCU-crystal: 12M ;
;*Version: 01 ;
;*Last Updata: 2007-6-13 ;
;*Author: zhaojun ;
;*Description: ;
;定时器T0、T1溢出周期为50MS,T0为秒计数用 ;
;T1为调整时闪烁用 ;
;P3.7为调整按钮,P1口为字符输出口,采用共阳显示管 ;
;************************************************;

;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;; AT89C2051时钟程序 ;;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;

;
;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;; 中断入口程序 ;;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;
ORG 0000H ;程序执行开始地址
LJMP START ;跳到标号START执行
ORG 0003H ;外中断0中断程序入口
RETI ;外中断0中断返回
ORG 000BH ;定时器T0中断程序入口
LJMP INTT0 ;跳至INTTO执行
ORG 0013H ;外中断1中断程序入口
RETI ;外中断1中断返回
ORG 001BH ;定时器T1中断程序入口
LJMP INTT1 ;跳至INTT1执行
ORG 0023H ;串行中断程序入口地址
RETI ;串行中断程序返回
;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;; 主 程 序 ;;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;
START: MOV R0,#70H ;清70H-7AH共11个内存单元
MOV R7,#0BH ;
CLEARDISP: MOV @R0,#00H ;
INC R0 ;
DJNZ R7,CLEARDISP ;
MOV 20H,#00H ;清20H(标志用)
MOV 7AH,#0AH ;放入"熄灭符"数据
MOV TMOD,#11H ;设T0、T1为16位定时器
MOV TL0,#0B0H ;50MS定时初值(T0计时用)
MOV TH0,#3CH ;50MS定时初值
MOV TL1,#0B0H ;50MS定时初值(T1闪烁定时用)
MOV TH1,#3CH ;50MS定时初值
SETB EA ;总中断开放
SETB ET0 ;允许T0中断
SETB TR0 ;开启T0定时器
MOV R4,#14H ;1秒定时用初值(50MS×20)
START1: LCALL DISPLAY ;调用显示子程序
JNB P3.7,SETMM1 ;P3.7口为0时转时间调整程序
SJMP START1 ;P3.7口为1时跳回START1
SETMM1: LJMP SETMM ;转到时间调整程序SETMM
;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;; 1秒计时程序 ;;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;T0中断服务程序
INTT0: PUSH ACC ;累加器入栈保护
PUSH PSW ;状态字入栈保护
CLR ET0 ;关T0中断允许
CLR TR0 ;关闭定时器T0
MOV A,#0B7H ;中断响应时间同步修正
ADD A,TL0 ;低8位初值修正
MOV TL0,A ;重装初值(低8位修正值)
MOV A,#3CH ;高8位初值修正
ADDC A,TH0 ;
MOV TH0,A ;重装初值(高8位修正值)
SETB TR0 ;开启定时器T0
DJNZ R4, OUTT0 ;20次中断未到中断退出
ADDSS: MOV R4,#14H ;20次中断到(1秒)重赋初值
MOV R0,#71H ;指向秒计时单元(71H-72H)
ACALL ADD1 ;调用加1程序(加1秒操作)
MOV A,R3 ;秒数据放入A(R3为2位十进制数组合)
CLR C ;清进位标志
CJNE A,#60H,ADDMM ;
ADDMM: JC OUTT0 ;小于60秒时中断退出
ACALL CLR0 ;大于或等于60秒时对秒计时单元清0
MOV R0,#77H ;指向分计时单元(76H-77H)
ACALL ADD1 ;分计时单元加1分钟
MOV A,R3 ;分数据放入A
CLR C ;清进位标志
CJNE A,#60H,ADDHH ;
ADDHH: JC OUTT0 ;小于60分时中断退出
ACALL CLR0 ;大于或等于60分时分计时单元清0
MOV R0,#79H ;指向小时计时单元(78H-79H)
ACALL ADD1 ;小时计时单元加1小时
MOV A,R3 ;时数据放入A
CLR C ;清进位标志
CJNE A,#24H,HOUR ;
HOUR: JC OUTT0 ;小于24小时中断退出
ACALL CLR0 ;大于或等于24小时小时计时单元清0
OUTT0: MOV 72H,76H ;中断退出时将分、时计时单元数据移
MOV 73H,77H ;入对应显示单元
MOV 74H,78H ;
MOV 75H,79H ;
POP PSW ;恢复状态字(出栈)
POP ACC ;恢复累加器
SETB ET0 ;开放T0中断
RETI ;中断返回
;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;; 闪动调时 程 序 ;;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;T1中断服务程序,用作时间调整时调整单元闪烁指示
INTT1: PUSH ACC ;中断现场保护
PUSH PSW ;
MOV TL1,#0B0H ;装定时器T1定时初值
MOV TH1,#3CH ;
DJNZ R2,INTT1OUT ;0.3秒未到退出中断(50MS中断6次)
MOV R2,#06H ;重装0.3秒定时用初值
CPL 02H ;0.3秒定时到对闪烁标志取反
JB 02H,FLASH1 ;02H位为1时显示单元"熄灭"
MOV 72H,76H ;02H位为0时正常显示
MOV 73H,77H ;
MOV 74H,78H ;
MOV 75H,79H ;
INTT1OUT: POP PSW ;恢复现场
POP ACC ;
RETI ;中断退出
FLASH1: JB 01H,FLASH2 ;01H位为1时,转小时熄灭控制
MOV 72H,7AH ;01H位为0时,"熄灭符"数据放入分
MOV 73H,7AH ;显示单元(72H-73H),将不显示分数据
MOV 74H,78H ;
MOV 75H,79H ;
AJMP INTT1OUT ;转中断退出
FLASH2: MOV 72H,76H ;01H位为1时,"熄灭符"数据放入小时
MOV 73H,77H ;显示单元(74H-75H),小时数据将不显示
MOV 74H,7AH ;
MOV 75H,7AH ;
AJMP INTT1OUT ;转中断退出
;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;; 加1子 程 序 ;;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;
ADD1: MOV A,@R0 ;取当前计时单元数据到A
DEC R0 ;指向前一地址
SWAP A ;A中数据高四位与低四位交换
ORL A,@R0 ;前一地址中数据放入A中低四位
ADD A,#01H ;A加1操作
DA A ;十进制调整
MOV R3,A ;移入R3寄存器
ANL A,#0FH ;高四位变0
MOV @R0,A ;放回前一地址单元
MOV A,R3 ;取回R3中暂存数据
INC R0 ;指向当前地址单元
SWAP A ;A中数据高四位与低四位交换
ANL A,#0FH ;高四位变0
MOV @R0,A ;数据放入当削地址单元中
RET ;子程序返回
;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;; 清零程序 ;;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;对计时单元复零用
CLR0: CLR A ;清累加器
MOV @R0,A ;清当前地址单元
DEC R0 ;指向前一地址
MOV @R0,A ;前一地址单元清0
RET ;子程序返回
;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;; 时钟调整程序 ;;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;当调时按键按下时进入此程序
SETMM: CLR ET0 ;关定时器T0中断
CLR TR0 ;关闭定时器T0
LCALL DL1S ;调用1秒延时程序
JB P3.7,CLOSEDIS;键按下时间小于1秒,关闭显示(省电)
MOV R2,#06H ;进入调时状态,赋闪烁定时初值
SETB ET1 ;允许T1中断
SETB TR1 ;开启定时器T1
SET2: JNB P3.7,SET1 ;P3.7口为0(键未释放),等待
SETB 00H ;键释放,分调整闪烁标志置1
SET4: JB P3.7,SET3 ;等待键按下
LCALL DL05S ;有键按下,延时0.5秒
JNB P3.7,SETHH ;按下时间大于0.5秒转调小时状态
MOV R0,#77H ;按下时间小于0.5秒加1分钟操作
LCALL ADD1 ;调用加1子程序
MOV A,R3 ;取调整单元数据
CLR C ;清进位标志
CJNE A,#60H,HHH ;调整单元数据与60比较
HHH: JC SET4 ;调整单元数据小于60转SET4循环
LCALL CLR0 ;调整单元数据大于或等于60时清0
CLR C ;清进位标志
AJMP SET4 ;跳转到SET4循环
CLOSEDIS: SETB ET0 ;省电(LED不显示)状态。开T0中断
SETB TR0 ;开启T0定时器(开时钟)
CLOSE: JB P3.7,CLOSE ;无按键按下,等待。
LCALL DISPLAY ;有键按下,调显示子程序延时削抖
JB P3.7,CLOSE ;是干扰返回CLOSE等待
WAITH: JNB P3.7,WAITH ;等待键释放
LJMP START1 ;返回主程序(LED数据显示亮)
SETHH: CLR 00H ;分闪烁标志清除(进入调小时状态)
SETHH1: JNB P3.7,SET5 ;等待键释放
SETB 01H ;小时调整标志置1
SET6: JB P3.7,SET7 ;等待按键按下
LCALL DL05S ;有键按下延时0.5秒
JNB P3.7,SETOUT ;按下时间大于0.5秒退出时间调整
MOV R0,#79H ;按下时间小于0.5秒加1小时操作
LCALL ADD1 ;调加1子程序
MOV A,R3 ;
CLR C ;
CJNE A,#24H,HOUU ;计时单元数据与24比较
HOUU: JC SET6 ;小于24转SET6循环
LCALL CLR0 ;大于或等于24时清0操作
AJMP SET6 ;跳转到SET6循环
SETOUT: JNB P3.7,SETOUT1 ;调时退出程序。等待键释放
LCALL DISPLAY ;延时削抖
JNB P3.7,SETOUT ;是抖动,返回SETOUT再等待
CLR 01H ;清调小时标志
CLR 00H ;清调分标志
CLR 02H ;清闪烁标志
CLR TR1 ;关闭定时器T1
CLR ET1 ;关定时器T1中断
SETB TR0 ;开启定时器T0
SETB ET0 ;开定时器T0中断(计时开始)
LJMP START1 ;跳回主程序
SET1: LCALL DISPLAY ;键释放等待时调用显示程序(调分)
AJMP SET2 ;防止键按下时无时钟显示
SET3: LCALL DISPLAY ;等待调分按键时时钟显示用
AJMP SET4
SET5: LCALL DISPLAY ;键释放等待时调用显示程序(调小时)
AJMP SETHH1 ;防止键按下时无时钟显示
SET7: LCALL DISPLAY ;等待调小时按键时时钟显示用
AJMP SET6
SETOUT1: LCALL DISPLAY ;退出时钟调整时键释放等待
AJMP SETOUT ;防止键按下时无时钟显示
;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;; 显示程序 ;;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
; 显示数据在70H-75H单元内,用六位LED共阳数码管显示,P1口输出段码数据,P3口作
; 扫描控制,每个LED数码管亮1MS时间再逐位循环。
DISPLAY: MOV R1,#70H ;指向显示数据首址
MOV R5,#0FEH ;扫描控制字初值
PLAY: MOV A,R5 ;扫描字放入A
MOV P3,A ;从P3口输出
MOV A,@R1 ;取显示数据到A
MOV DPTR,#TAB ;取段码表地址
MOVC A,@A+DPTR ;查显示数据对应段码
MOV P1,A ;段码放入P1口
LCALL DL1MS ;显示1MS
INC R1 ;指向下一地址
MOV A,R5 ;扫描控制字放入A
JNB ACC.5,ENDOUT ;ACC.5=0时一次显示结束
RL A ;A中数据循环左移
MOV R5,A ;放回R5内
AJMP PLAY ;跳回PLAY循环
ENDOUT: SETB P3.5 ;一次显示结束,P3口复位
MOV P1,#0FFH ;P1口复位
RET ;子程序返回
TAB: DB 0C0H,0F9H,0A4H,0B0H,099H,092H,082H,0F8H,080H,090H,0FFH
;共阳段码表 "0" "1" "2" "3" "4" "5" "6" "7" "8" "9" "不亮"
;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;; 延时程序 ;;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;
;1MS延时程序,LED显示程序用
DL1MS: MOV R6,#14H
DL1: MOV R7,#19H
DL2: DJNZ R7,DL2
DJNZ R6,DL1
RET
;20MS延时程序,采用调用显示子程序以改善LED的显示闪烁现象
DS20MS: ACALL DISPLAY
ACALL DISPLAY
ACALL DISPLAY
RET
;延时程序,用作按键时间的长短判断
DL1S: LCALL DL05S
LCALL DL05S
RET
DL05S: MOV R3,#20H ;8毫秒*32=0.196秒
DL05S1: LCALL DISPLAY
DJNZ R3,DL05S1
RET
;
END ;程序结束

=====================================================================

/************** 数码管时钟的设计 *******************
/* MCU: AT89C51
/* MCU-crystal: 12M
/* Version: 01
/* Last Updata: 2007-9-15
/* Author: zhaojun
/* Description:
/* 定时器T0、T1溢出周期为50MS,T0为秒计数用,
/* T1为调整时闪烁用,P1.7为调整按钮,P0口为字符输出口,
/* P2口为位选,采用共阳显示管.
/****************************************************/
#include"reg51.h"
#define uchar unsigned char
#define uint unsigned int
#define scan_data P0 //显示数据输出端口
#define bit_select P2 //位选端口
char code dis_7[12]={0xC0,0xF9,0xA4,0xB0,0x99,0x92,0x82,0xF8,0x80,0x90,0xFF,0xBF};
/* 共阳LED段码表 "0" "1" "2" "3" "4" "5" "6" "7" "8" "9" "灭" "-" */
char code scan_con[8]={0x7F,0xBF,0xDF,0xEF,0xF7,0xFB,0xFD,0xFE};
// 列扫描控制字
char data time_data[8]={0x00,0x00,0x0B,0x00,0x00,0x0B,0x00,0x00};
// 计时单元数据初值 秒个 秒十 - 分个 分十 - 时个 时十
char data dis[12]={0x00,0x00,0x0B,0x00,0x00,0x0B,0x00,0x00,0x0a,0x0a,0x00,0x00};
// 显示单元数据 X X - X X - X X "灭" "灭" x x
char data con_04s=0x00,con_1s=0x00,con=0x00;
sbit set=P1^4;
bit flag,sign;
void scan();
/***************************************************
* 函 数 名:delay_1ms(),delay_500ms(),delay_1s()
* 功能描述:用以判断按键的长短,从而进入不同的设置
* 函数说明:500ms,1s程序变不精确,因嵌入了显示程序
* 调用函数:scan()显示程序
* 全局变量:无
* 输 入 值:无
* 输 出 值:无
* 返 回 值:无
* 设 计 者:zhaojun 日期:2007-9-15
* 修 改 者:zhaojun 日期:2007-9-19
* 版 本:1.0
*****************************************************/
// 1ms 延时程序
void delay_1ms(uchar t)
{
uchar i,j;
for(i=0;i for(j=0;j<120;j++)
;
}
// 500ms延时子程序
void delay_500ms(void)
{
uchar i;
for(i=150;i>0;i--)
scan();
}
// 1s延时子程序
void delay_1s(void)
{
uchar h,i;
for(h=5;h>0;h--)
for(i=40;i>0;i--)
scan();
}
/***************************************************
* 函 数 名:scan()
* 功能描述:显示时钟数据
* 函数说明:时钟显示格式为:xx-xx-xx
* 调用函数:delay_1ms()
* 全局变量:无
* 输 入 值:无
* 输 出 值:无
* 返 回 值:无
* 设 计 者:zhaojun 日期:2007-9-15
* 修 改 者:zhaojun 日期:2007-9-19
* 版 本:1.0
*****************************************************/
// 显示子程序
void scan(void)
{
uchar k;
for(k=0;k<8;k++)
{
scan_data=dis_7[dis[k]];
bit_select=scan_con[k];
delay_1ms(1);
bit_select=0xFF;
}
}
/***************************************************
* 函 数 名:add_data()
* 功能描述:对时、分数据进行加
* 函数说明:flag=0时判断分钟,flag=1时判断小时
* 调用函数:无
* 全局变量:无
* 输 入 值:无
* 输 出 值:无
* 返 回 值:无
* 设 计 者:zhaojun 日期:2007-9-15
* 修 改 者:zhaojun 日期:2007-9-19
* 版 本:1.0
*****************************************************/
void add_data(void)
{
uchar i;
time_data[con]++; //时间数据加1
if(time_data[con]>=10) //个位数据等于10,则个位清零,十位加1
{
time_data[con]=0;time_data[con+1]++;
}
if(flag==0) //如果是分钟数据,判断十位是否到6时,清零
{
if(time_data[con+1]>=6)
{
time_data[con]=0;time_data[con+1]=0;
}
}
if(flag==1) //如果是小时数据,判断是否为24时,清零
{
if(time_data[con+1]==2)
{
if(time_data[con]>=4)
{
time_data[con]=0;time_data[con+1]=0;
}
}
}

for(i=0;i<8;i++) //把调节数据放入显示区
{
dis[i]=time_data[i];
}
dis[8]=0x0a;dis[9]=0x0a; //寄存器中存入熄灭符
}
/***************************************************
* 函 数 名:keyscan()
* 功能描述:对一个按键进行时间扫描
* 函数说明:对按键长短进行比较,从而进行不同的设置
* 调用函数:delay_1s(),scan(),delay_500ms()
* 全局变量:sign,flag
* 输 入 值:无
* 输 出 值:无
* 返 回 值:无
* 设 计 者:zhaojun 日期:2007-9-15
* 修 改 者:zhaojun 日期:2007-9-19
* 版 本:1.0
*****************************************************/
void keyscan(void)
{
if(set==0) //有键按下,判断按键时间
{
delay_1s(); //1s延时程序
if(set==0) //大于1s,进入时间设置
{
ET0=0; TR0=0; //关定时器0
while(set==0) scan(); //等键释放
ET1=1;TR1=1;con=3; //开定时器1,让调整位闪烁
do
{
while(set!=0) scan(); //等待按键
delay_500ms();
if(set!=0) //小于0.5s,进入分钟设置
{
while(set==0) scan(); //等待按键释放
sign=1;
flag=0;
add_data(); //分钟加1
scan();
}
else sign=0; //大于0.5s,进入小时设置
}while(sign);
while(set==0)scan(); //等待按键释放
con=6;
do
{
while(set!=0) scan(); //等待按键
delay_500ms();
if(set!=0) //小于0.5s,进入小时设置
{
while(set==0) scan(); //等待按键释放
sign=1;
flag=1;
add_data(); //小时加1
scan();
}
else sign=0; //大于0.5s,结束时间设置
}while(sign);
ET1=0;TR1=0; //关定时器1
ET0=1;TR0=1; //开定时器0
}
else //小于1s,进入省电模式
{
ET0=1; TR0=1; //开定时器0
while(set!=0); //等待按键
do
{
scan(); //有键按下,调显示程序消抖
}while(set!=0); //是干扰则继续循环
}
while(set==0) scan(); //等待按键释放
}
else ; //无键按下,跳出按键扫描程序
}
/***************************************************
* 函 数 名:clearmen()
* 功能描述:对主程序进行初始化设置
* 函数说明:对显示单元,定时器0、1进行赋初值
* 调用函数:无
* 全局变量:无
* 输 入 值:无
* 输 出 值:无
* 返 回 值:无
* 设 计 者:zhaojun 日期:2007-9-15
* 修 改 者:zhaojun 日期:2007-9-19
* 版 本:1.0
*****************************************************/
void clearmen(void)
{
uchar i;
for(i=0;i<8;i++)
{
dis[i]=time_data[i];
}
TH0=0x3C; TL0=0xB0; //定时器0赋初值
TH1=0x3C; TL1=0xB0; //定时器1赋初值
TMOD=0x11;ET0=1;ET1=1;TR0=1;TR1=0;EA=1;//设置定时器工作方式,开定时器
}
/***************************************************
* 函 数 名:main()
* 功能描述:主程序
* 函数说明:不断进行时间显示和按键扫描
* 调用函数:clearmen(),scan(),keyscan()
* 全局变量:无
* 输 入 值:无
* 输 出 值:无
* 返 回 值:无
* 设 计 者:zhaojun 日期:2007-9-15
* 修 改 者:zhaojun 日期:2007-9-19
* 版 本:1.0
*****************************************************/
void main( )
{
clearmen(); //初始化
while(1)
{
scan(); //时间显示
keyscan(); //按键扫描
}
}
/***************************************************
* 函 数 名:time_intt0()
* 功能描述:T0中断服务程序
* 函数说明:1s计数,并对时间值进行判断
* 调用函数:无
* 全局变量:无
* 输 入 值:无
* 输 出 值:无
* 返 回 值:无
* 设 计 者:zhaojun 日期:2007-9-15
* 修 改 者:zhaojun 日期:2007-9-19
* 版 本:1.0
*****************************************************/
void time_intt0(void) interrupt 1
{
uchar i;
ET0=0;TR0=0; //关T0定时器
TH0=0x3C;TL0=0xB7;//赋初值
TR0=1; //开T0定时器
con_1s++; //1s计数器计数
if(con_1s==20) //1s到,时间加1
{
con_1s=0; //1s计数器值0
time_data[0]++;//秒加1
if(time_data[0]>=10) //秒个位
{
time_data[0]=0;time_data[1]++;
if(time_data[1]>=6) //秒十位
{
time_data[1]=0;time_data[3]++;
if(time_data[3]>=10) //分个位
{
time_data[3]=0;time_data[4]++;
if(time_data[4]>=6) //分十位
{
time_data[4]=0;time_data[6]++;
if(time_data[6]>=10) //时个位
{
time_data[6]=0;time_data[7]++;
}
if(time_data[7]==2) //时十位
{
if(time_data[6]==4) //时个位
{
time_data[6]=0;time_data[7]=0;
}
}
}
}
}
}
for(i=0;i<8;i++) //计时数据放入显示单元
{
dis[i]=time_data[i];
}
dis[8]=0x0a;dis[9]=0x0a; //放入熄灭符
}
ET0=1; //开总中断
}
/***************************************************
* 函 数 名:time_intt1()
* 功能描述:T1中断服务程序
* 函数说明:用以调节时间是的闪烁
* 调用函数:无
* 全局变量:无
* 输 入 值:无
* 输 出 值:无
* 返 回 值:无
* 设 计 者:zhaojun 日期:2007-9-15
* 修 改 者:zhaojun 日期:2007-9-19
* 版 本:1.0
*****************************************************/
void time_intt1(void) interrupt 3
{
EA=0; TR1=0; //关闭定时器
TH1=0x3C; TL1=0xB0; //定时器1赋初值
TR1=1; //开定时器1
con_04s++; //0.4s计数器计数
if(con_04s==8) //到0.4s,赋闪烁值
{
con_04s=0; //0.4s计数器值0
dis[10]=dis[con];dis[con]=dis[8];dis[8]=dis[10];
dis[11]=dis[con+1];dis[con+1]=dis[9];dis[9]=dis[11];
}
EA = 1; //开总中断
}


//********************** 程序结束 ***********************



『本文转载自网络,版权归原作者所有,如有侵权请联系删除』

热门文章 更多
scsi接口