×
嵌入式 > 嵌入式开发 > 详情

精确到1%秒的单片机计时器汇编程序

发布时间:2020-07-09 发布时间:
|

程序效果:利用单片机的定时/计数器设计一个计时器
按key0后启动,要求精确显示到百分之一秒。
发挥部分:
1:定时结束后有提示音报警,并可重新定时
2:定时时间可设置,最多30s
3:定时过程中可暂停
4:按下key1暂停计时,并记下此刻数值
5:按下key2显示第一次按下key1的数值(按下第一次有效,其它均无效)
3:本程序版权所有:51hei.com.
*/
ORG 0000H
LJMP START //主程序必须避开地址000BH
ORG 000BH //定时器0的中段服务程序,起始地址为000BH
LJMP IT00
ORG 0030H
START: MOV TH0,#0EBH //装入初始值,定时时间为10ms
MOV TL0,#64H
MOV TMOD,#01H //工作方式1
MOV 40H,#00
MOV 41H,#00
MOV 42H,#00
MOV 43H,#00
MOV 33H,#00 //显示初值为0
MOV 32H,#00
MOV 31H,#00
MOV 30H,#00
MOV R1,#00
MOV R2,#00
SETB ET0 //打开定时0
SETB EA //开总中断
HERE: JNB P3.4,KEY0 //扫描是否有按键按下
-
-
JNB P3.5,KEY1





『本文转载自网络,版权归原作者所有,如有侵权请联系删除』

热门文章 更多
五大标准轻松搞定4K超高清电视选购