×
嵌入式开发 > 详情

基于VHDL语言对高速A/D器件TLC5510控制的实现

发布时间:2020-07-06 发布时间:
|

--TLC5510 VHDL控制程序

--文件名:TLC5510.vhd

--功能:基于VHDL语言实现高速A/D器件TLC5510控制

--最后修改日期:2004.3.20

library ieee;

use ieee.std_logic_1164.all;

entity tlc5510 is

port(clk :in std_logic; --系统时钟

oe :out std_logic; --TLC5510的输出使能/OE

clk1:out std_logic; --TLC5510的转换时钟

din:in std_logic_vector(7 downto 0); --来自TLC5510的采样数据

dout:out std_logic_vector(7 downto 0)); --FPGA数据输出

end tlc5510;

architecture behav of tlc5510 is

signal q:integer range 3 downto 0;

begin

process(clk) --此进程中,把CLK 进行4分频,得到TLC5510的转换时钟

begin

if clk'event and clk='1' then

if q=3 then q=0;

else q=q+1;

end if;

end if;

if q>=2 then clk1='1'; --对系统CLK进行4分频

else clk1='0';

end if;

end process;

oe='0'; --输出使能赋低电平

dout=din; --采样数据输出

end behaver;



『本文转载自网络,版权归原作者所有,如有侵权请联系删除』

热门文章 更多
RIOS实验室联手Imagination.共同助力RISC-V生态发展