×
接口总线驱动 > 总线 > 详情

一种高集成度基于PCI总线的AM80486 CPU设计与实现

发布时间:2020-05-27 发布时间:
|
0 引言
    在设备更新换代时,对现有设备进行合理的升级,不仅能提升设备的性能,同时还可以延长设备寿命,降低全寿命周期的费用,同时升级后的设备在使用维护上还具有良好的继承性。
    80486处理器虽然已问世十多年,但在各个领域,尤其是机载航电系统中仍有大量应用。目前使用80486处理器的大多数模块,普遍存在系统集成度偏低、总线传输速率较慢的缺点。针对这一问题,本文结合某计算机系统CPU模块的升级,提出一种解决方案,该方案采用PCI总线提升系统性能,同时将部分功能单元以IP核(InteUectual Property Core)的形式集成到FPGA中,实现了小型化设计(CPU模块采用PMC标准板卡外形及安装方式)。

1 典型采用80486处理器的模块结构
    图1为一种典型的采用80486处理器的模块(以下简称典型80486模块)结构图。该模块采用标准的1/2ATR外形尺寸,系统总线采用LBE(Local Bus Extension)总线,最大传输速率约40Mb/s,模块重量约380g,功耗约5W(5V,1A)。



2 小型化80486 CPU模块设计
    小型化80486CPU模块(以下简称CPU模块)由处理器、FLASH、SRAM、NVRAM、可编程逻辑、看门狗、时钟、PCI接口电路、RS232串行接口电路、DC/DC电路和隔离电路组成,系统结构图如图2所示。


    CPU模块与典型80486模块的系统结构差异对比见表1。


2.1 硬件设计
2.1.1 处理器及存储器电路
    CPU模块的处理器及存储器配置如下;a.处理器采用AMD公司的AM80486DX2处理器,外部输入时钟33MHz,内部工作频率66MHz,芯片工作在保护模式下,最大寻址空间为4GB;b.FLASH 4MB,SRAM 1MB,NVRAM 32KB。
2.1.2 外围工作电路
    外围工作电路主要包括复位及看门狗电路、离散量接口电路、RS232接口电路和DC/DC电路,具体配置如下:a.复位及看门狗电路,采用MAX706芯片实现,看门狗周期1s;b.离散量接口电路,3路输入TTL离散量;c.RS232接口电路,采用MAX232AESE芯片实现2路RS232接口;d.DC/DC电路,采用2个LT1085芯片实现5V/2.5V和5W3.3V的电源转换。
2.1.3 PCI接口电路
    CPU模块的系统总线采用PCI总线,PCI总线宽度为32位(地址/数据复用),总线工作时钟33MHz,最大传输速度可达132Mb/s。CPU模块采用PCI9056芯片作为PCI总线接口,主从选择为Host方式,工作模式为C模式。由于AM80486处理器应用年代较早,无法直接与PCI接口芯片连接,因此CPU模块采用可编程逻辑连接AM80486局部总线和PCI9056。
    AM80486与PCI9056的交联如图3所示。AM80486的地址和数据可直接与PCI9056相连。针对控制信号,在本系统中,CPU模块是PCI总线上唯一的主设备,在访问PCI总线时,需对图3中的控制信号进行逻辑综合。


2.1.4 可编程逻辑电路
    可编程逻辑电路是系统控制器设计中的重点。由于模块的小型化要求,CPU模块在设计可编程逻辑时将部分功能单元以IP核的形式集成到FPGA中,以提高系统集成度。[page]
    CPU模块的可编程逻辑采用采用一片XCV300芯片来实现,除了地址译码、逻辑控制、时序控制、总线超时以及PCI控制逻辑等功能外,还使用IP核完成中断控制器、定时/计数器和串行协议转换功能。可编程逻辑电路的功能示意图如图4。


2.1.4.1 中断控制器
    可编程逻辑采用IP核实现一个具有1路非屏蔽中断和16路可屏蔽中断的中断控制器功能。中断的触发方式(电平触发/沿触发)和16路可屏蔽中断的优先级软件可调。系统控制器在实现时使用了1路非屏蔽中断和11路可屏蔽中断,剩余资源可根据用户需求使用。
2.1.4.2 定时/计数器
    可编程逻辑采用IP核实现一个3路独立的8位定时/计数器功能,其工作模式与MD8254的MODE2方式相同,每路定时器的输出使能和计数频率软件可调。系统控制器在实现时使用了两路定时器供操作系统使用,剩余的1路留可根据用户需求使用。
2.1.4.3 串行协议控制
    可编程逻辑采用IP核实现2路RS232串行协议控制,用户可以通过软件对串行接口的工作方式(查询/中断)、串行数据的格式(数据位/起始位/停止位/奇偶校验等)和串行通信速率(9600-119200bps可调,默认38400bps)等参数进行设置。

2.2 软件设计
    CPU模块使用VxWorks5.5操作系统,软件开发环境为Tornado 2.2。VxWorks操作系统具有实时性、可靠性、可剪裁性、支持众多的目标机、系统的开放性、丰富的环境工具和第三方支持等特点。VxWorks操作系统功能结构如图5所示。


    CPU膜块的软件包括以下内容:a.FLASH编程工具;b.BIT测试程序;c.Vxworks操作系统:d.驱动程序;e.应用程序。

3 结束语
    小型化的CPU模块,已成功应用在升级后的系统中,取得了良好的成效。除了总线传输速度提高(PCI总线最大传输速度可达132Mb/s)、使系统性能得到提升外,还具有以下特点:
    (1)安装方式灵活,节约系统资源。CPU模块采用PMC板卡形式,占用空间小(面积下降33%),可以方便地安装在其它模块上,同时与典型的80486模块相比,重量下降70%、功耗下降15%,节约了系统资源。
    (2)配置灵活,升级潜力大。CPU模块在本设计中作为PCI总线控制器(Host)使用,但是只要更改PCI9056的配置,并且对可编程逻辑做相应更改,即可作为PCI总线的主设备(Master)和从设备(Slave)使用。由于采用了SoPC技术进行可编程逻辑设计,CPU模块上还有可利用的空间进行功能扩展(例如增加I2C电路和网络接口),同时只要提高处理器和PCI接口的工作频率,即可进一步提高CPU模块的性能。
    (3)通用性强,应用前景广泛。由于采用了标准的PCI接口和PMC外形,CPU模块可以方便地配置在一个PCI总线系统中,并且具有可灵活配置的工作方式(Host/Master/Slave)。同时为现有采用80486处理器计算机系统的升级,提供了可借鉴的模型,具有广泛的应用前景。 


『本文转载自网络,版权归原作者所有,如有侵权请联系删除』

热门文章 更多
RS232与RS485谁才是UART中的高速公路