×
嵌入式 > 技术百科 > 详情

学习通用IO与外部中断

发布时间:2020-06-09 发布时间:
|
MSP430f5438有12组通用IO分别是从1到J,能作为外部中断引脚的只有P1和P2两个引脚;对于控制寄存器有下列几种:

 

PxIN是输入寄存器,

PxOUT是输出寄存器

PxDIR是输入与输出方向配置寄存器

PxREn 是下拉下拉寄存器

PxDS 是驱动增强与减弱寄存器

PxSEL是功能选择寄存器

 

PxIES是中断触发方式寄存器

PxIE是中断允许寄存器

PxIFG是中断标志寄存器

中断配置如下:

 

//外部中断优先级没有软件配置。端口0最高,端口7最低

void out_interrupt()

{

   PortDIR  |=BIT0;  //设置端口方向

   PortIFG  &=0xfe; //中断标志

   PortIES  |=BIT0;  //中断触发方式

   PortIE   |=BIT0;  //中断开发

   PortREN |=BIT0;

   P2OUT |=BIT0;

}

//中断服务程序如下:

#include "msp430f5438.h"

#include "init.h"

void main( void )

{

  clk_init();

  out_interrupt();

  P5DIR |=BIT4;

  _EINT();//编译器内部开中断

  while(1);

}

#pragma vector=PORT2_VECTOR

__interrupt void Port2(void)

{

  __delay_cycles(1000);

  if((P2IN&0xff)!=0xff)

  {

        P5OUT ^=BIT4;   

  }

  PortIFG  &= ~BIT0; //中断标志

}

 

『本文转载自网络,版权归原作者所有,如有侵权请联系删除』

热门文章 更多
实时控制.安全.如何加速实现未来工厂落地?