×
嵌入式 > 技术百科 > 详情

异步与同步清零Verilog hdl表达程序

发布时间:2020-06-10 发布时间:
|
带异步清0、异步置1 的D 触发器
module DFF1(q,qn,d,clk,set,reset);
input d,clk,set,reset;
output q,qn;
reg q,qn;
always @(posedge clk or negedge set or negedge reset)
begin
if (!reset) begin
q <= 0; //异步清0,低电平有效
qn <= 1;
end
else if (!set) begin
q <= 1; //异步置1,低电平有效
qn <= 0;
end
else begin
q <= d;
qn <= ~d;
end
end
endmodule
 
带同步清0、同步置1 的D 触发器
module DFF2(q,qn,d,clk,set,reset);
input d,clk,set,reset;
output q,qn;
reg q,qn;
always @(posedge clk)
begin
if (reset) begin
q <= 0; qn <= 1; //同步清0,高电平有效
end
else if (set) begin
q <=1; qn <=0; //同步置1,高电平有效
end
else begin
q <= d; qn <= ~d;
end
end
endmodule
 
异步清零:
always@(posedge clk or negedge rst )
begin
    if(!rst)  out <= 0;
    else
    begin  ·················································
    end
end
 
同步清零:
always@(posedge clk)
begin
    if(!rst)  out <= 0;
    else
    begin  ·················································
    end
end



『本文转载自网络,版权归原作者所有,如有侵权请联系删除』

热门文章 更多
iPhone将是质的飞跃:苹果A14处理器+高通X55基带