×
显示-光电 > 显示技术 > 详情

事件管理器正交编码脉冲单元结构及其接口

发布时间:2020-08-07 发布时间:
|

每个事件管理器模块都有一个正交编码脉冲(QEP)电路。如果QEP电路被使能,可以对CAPI/QEP1和CAPZ/QEP2(对于EVA)或CAP4/QEP3和CAPS/QEP4(对于EVB)引脚上的正交编码脉冲进行解码和计数。QEP电路可用于连接光电编码器,获得旋转机器的位置和速率等信息。如果使能QEP电路,CAP1/CAP2和CAP4/CAP5引脚上的捕获功能将被禁止。

QEP单元通常情况下用来从安装在旋转轴上的增量编码电路获得方向和速度信息。如图1所示,两个传感器产生“通道A”和“通道B”两个数字脉冲信号。这两个数字脉冲可以产生4种状态,QEP单元的定时器根据状态变化次序和状态转换速度递增或者递减计数。在固定的时间间隔内读取并比较定时器计数器的值就可以获得速度或者位置信息。

3个QEP输入引脚同捕获单元1、2、3(或4、5、6)共用,外部接口引脚的具体功能由CAPCON=寄存器设置。QEP单元的接口结构如图2所示,内部结构及外部接口如图3所示。

图1 光电编码器输出状态机

图2 QEP单元接口结构

图3 OEP单元内部结构及外部接口



『本文转载自网络,版权归原作者所有,如有侵权请联系删除』

热门文章 更多
无辅助绕组的原边控制LED恒流驱动电路设计