×
嵌入式 > 嵌入式开发 > 详情

FPGA学习:PLL分频计数的LED闪烁实例

发布时间:2020-06-13 发布时间:
|

如图8.17所示,本实例将用到FPGA内部的PLL资源,输入FPGA引脚上的25MHz时钟,配置PLL使其输出4路分别为12.5MHz、25MHz、50MHz和100MHz的时钟信号,这4路时钟信号又分别驱动4个不同位宽的计数器不停的计数工作,这些计数器的最高位最终输出用于控制4个不同的LED亮灭。由于这4个时钟频率都有一定的倍数关系,所以我们也很容易通过调整合理的计数器位宽,达到4个LED闪烁一致的控制。

本文引用地址:/zixunimg/eepwimg/www.eepw.com.cn/article/201804/379162.htm

xa0xa0

xa0

cy4.v模块代码解析

先来看cy4.v模块的代码,它是工程的顶层模块,主要做接口定义和模块例化,一般不会在这个模块中做任何的具体逻辑设计。

首先是接口部分,只有时钟、复位和8个LED信号。

modulexa0cy4(

inputxa0ext_clk_25m,xa0//外部输入25MHz时钟信号

inputxa0ext_rst_n,xa0//外部输入复位信号,低电平有效

output[7:0]xa0ledxa0//8个LED指示灯接口

);

接着这里申明5个wire类型的信号,所有在不同模块间接口的信号,在它们的上级模块中都必须定义为wire类型,这里有4个不同频率的时钟以及由PLL的lock信号引出的复位信号sys_rst_n。

wirexa0clk_12m5;xa0//PLL输出12.5MHz时钟

wirexa0clk_25m;xa0//PLL输出25MHz时钟

wirexa0clk_50m;xa0//PLL输出50MHz时钟

wirexa0clk_100m;xa0//PLL输出100MHz时钟

wirexa0sys_rst_n;xa0//PLL输出的locked信号,作为FPGA内部的复位信号,低电平复位,高电平正常工作

PLL是我们配置的IP核模块,它需要在我们的代码中例化,如下所示。

//-------------------------------------

//PLL例化

pll_controllerxa0pll_controller_instxa0(

.aresetxa0(xa0!ext_rst_nxa0),

.inclk0xa0(xa0ext_clk_25mxa0),

.c0xa0(xa0clk_12m5xa0),

.c1xa0(xa0clk_25mxa0),

.c2xa0(xa0clk_50mxa0),

.c3xa0(xa0clk_100mxa0),

.lockedxa0(xa0sys_rst_nxa0)

);

最后4个LED闪烁控制模块的例化,它们的源码都是led_controller.v模块,但它们的名称不一样,分别为uut_led_controller_clk12m5、uut_led_controller_clk25m、uut_led_controller_clk50m、uut_led_controller_clk100m。这样的定义方式最终实现效果不同于软件的函数调用,软件的函数调用只有一个函数,分时复用;而FPGA的这种代码例化却会实现4个完全一样的硬件逻辑。当然了,这4个模块还略有不同,就是两个名称中间的“#(n)”,n有23、24、25和26,这个是输入到led_controller.v模块的一个参数,大家别急,后面我们马上就会提到它。

//-------------------------------------

//12.5MHz时钟进行分频闪烁,计数器为23位

led_controllerxa0#(23)xa0uut_led_controller_clk12m5(

.clk(clk_12m5),xa0//时钟信号

.rst_n(sys_rst_n),xa0//复位信号,低电平有效

.sled(led[0])xa0//LED指示灯接口

);

//-------------------------------------

//25MHz时钟进行分频闪烁,计数器为24位

led_controllerxa0#(24)xa0uut_led_controller_clk25m(

.clk(clk_25m),xa0//时钟信号

.rst_n(sys_rst_n),xa0//复位信号,低电平有效

.sled(led[1])xa0//LED指示灯接口

);

//-------------------------------------

//25MHz时钟进行分频闪烁,计数器为25位

led_controllerxa0#(25)xa0uut_led_controller_clk50m(

.clk(clk_50m),xa0//时钟信号

.rst_n(sys_rst_n),xa0//复位信号,低电平有效

.sled(led[2])xa0//LED指示灯接口

);

//-------------------------------------

//25MHz时钟进行分频闪烁,计数器为26位

led_controllerxa0#(26)xa0uut_led_controller_clk100m(

.clk(clk_100m),xa0//时钟信号

.rst_n(sys_rst_n),xa0//复位信号,低电平有效

.sled(led[3])xa0//LED指示灯接口

);

//-------------------------------------

//高4位LED指示灯关闭

assignxa0led[7:4]xa0=xa04'b1111;

endmodule

led_controller.v模块代码解析

led_controller.v模块代码如下,这里重点注意我们上面刚刚提到的输入参数。在代码中,有“parameterxa0CNT_HIGHxa0=xa024;”这样的定义,若是例化这个模块的上层接口中不定义“#(n)”,则表示“parameterxa0CNT_HIGHxa0=xa024;”语句生效,若是定义的“#(n)”中的n值与代码中定义的24不同,那么以n为最终值。

modulexa0led_controller(

inputxa0clk,xa0//时钟信号

inputxa0rst_n,xa0//复位信号,低电平有效

outputxa0sledxa0//LED指示灯接口

);

parameterxa0CNT_HIGHxa0=xa024;xa0//计数器最高位

//-------------------------------------

reg[(CNT_HIGH-1):0]xa0cnt;xa0//24位计数器

//cnt计数器进行循环计数

alwaysxa0@xa0(posedgexa0clkxa0orxa0negedgexa0rst_n)

if(!rst_n)xa0cntxa0<=xa00;

elsexa0cntxa0<=xa0cnt+1'b1;

assignxa0sledxa0=xa0cnt[CNT_HIGH-1];

endmodule

xa0



『本文转载自网络,版权归原作者所有,如有侵权请联系删除』

热门文章 更多
五大标准轻松搞定4K超高清电视选购