在经历几个月的筹备之后,芯华章很高兴可以和大家分享,中国首个开源 EDA 技术社区——EDAGit.com 1.0 版本正式上线了。

  

我们相信,中国集成电路要完善、崛起,就需要有更多人加入,一起贡献想法和力量,群策群力,多元碰撞。

  

我们团队的初衷是希望在融入全新技术底层架构,打造面向未来新一代 EDA 产品的同时,也可以用我们在行业内 20 年的研发经验和技术积累,基于经典验证技术做开源 EDA 强化、创新,让更多有技术理想的 IC 验证工程师可以应用于项目研发,让更多 EDA 有志之士可以和我们共同探索、突破。

  

EpicSim,全球速度最快的开源数字仿真器

数字仿真器,是功能验证最不可或缺的一环。仿真器的性能,语义支持能力,编译流程控制以及调试能力,都对功能验证起着至关重要的作用。市场主流的仿真工具有 VCS, IES, Questa 等商业软件,而 iVerilog 则是目前开源仿真器的代表,由于 iVerilog 只能支持有限的语法,且性能有待突破,目前主要用于科研项目和高校教学等领域,未曾在商用领域得到打磨的机会,没有实际项目的应用反馈,大大局限了该仿真器的功能改进和性能提升。

  

基于我们对时序电路特性的了解与研究,我们对 iVerilog 进行了针对性的优化,使其在 RTL 设计上可以有 2 倍以上的性能提升,并且充实了 Verilog 语言的支持,大幅提高了 iVerilog 对场景的适应性。我们对 iVerilog 进行优化和改造的同时,对其仿真精度上的实现方式进行了修复和校准,使其结果与现有商用软件保持一致。

  

“遇到 bug 没有人支持”是阻碍开源软件推动产业加速突破最根本的问题之一。EpicSim 从应用性和实用性上,会是一款真正能用于项目开发的开源 EDA 产品,我们团队会定期针对产品使用时遇到的技术问题在社区内提供技术支持,与大家交流促进。

  

我们的初衷是希望有更多的项目使用这款产品进行数字集成电路的验证工作,分享有价值的意见和建议,帮助推动仿真引擎、约束条件解析引擎等关键部件的优化,集众人之力对更广泛的语法语义提供支持,直接或间接地共同为应对未来 SoC 的验证挑战打下坚实的基础,加快 EDA 创新并降低其使用门槛,进而提高芯片设计的验证效率。

  

EDAGit,中国首个开源 EDA 技术社区

章鱼是海洋里的灵长类动物,它有一个聪明的大脑,学习能力强,进化程度高。这与如今的 EDA 开发者和芯片开发者所需要的核心能力非常相似:超强感知,需要持续学习,方能实现技术突破。

 

  

  

EDAGit.com 聚集了国内外最具经验的资深验证专家,专注在验证领域的技术难点,我们以关键验证工具为突破点,提供一个属于 EDA 开发者和验证工程师独有的技术交流社区,一起寻找国产 EDA 的突围之路。

  

在这里,不论你是潜心研究学术的优等生,还是从业多年的江湖高手,都可以在 EDAGit 分享你的观点,方案和经验,也可以获得技术问题的专业支持。互相学习、交流技术,一起推动 EDA 技术与芯片技术的突破。

  

记录你在技术领域的点滴成长,解答你在技术道路上的各种疑问,让你的创意得到实现,都是激励我们向前的动力所在。

  

诚挚邀请你访问 EDAGit.com 社区,一起踏上实现技术理想的征途,翻开行业的“芯”篇章!